Litografía ultravioleta extrema , la enciclopedia libre

Mecanismo de formación de imágenes en litografía UVE. Parte superior: UVE multicapa y absorbente (púrpura) que constituye un patrón de máscara para obtener imágenes de una línea. Parte inferior: la radiación UVE (roja) reflejada por el patrón de la máscara se absorbe en la resistencia (amarillo) y el sustrato (marrón), produciendo fotoelectrones y electrones secundarios (azul). Estos electrones aumentan la extensión de las reacciones químicas en la resistencia. Un patrón de electrones secundarios de naturaleza aleatoria se superpone a la imagen óptica. La exposición secundaria a electrones no deseada produce una pérdida de resolución, una rugosidad observable en el borde de la línea y una variación del ancho de línea.
Aspecto estocástico de la formación de imágenes UVE. El ruido de la toma de fotones puede causar una variación significativa de la dosis local, que puede cruzar el umbral de impresión (línea de puntos).

La litografía ultravioleta extrema (también conocida como UVE, LUVE o EUV, EUVL, por sus siglas en inglés)[1]​es una tecnología de vanguardia utilizada en la industria de semiconductores para fabricar circuitos integrados (CI). Es un tipo de fotolitografía que utiliza luz ultravioleta extrema (UVE) para crear patrones intrincados en obleas de silicio. La gama de longitudes de onda UVE utilizadas abarca aproximadamente un ancho de banda de 2 % FWHM de aproximadamente 13,5 nm.[2][3][4]

Si bien la tecnología UVE está disponible para la producción en masa, menos de cincuenta máquinas en todo el mundo son capaces de producir obleas utilizando la técnica;[5]​ en comparación, a partir de 2013, más de 200 sistemas de inmersión en litografía ultravioleta profunda (UVP o DUV por sus siglas en inglés) ya estaban implementados.[6]​ A partir del tercer trimestre de 2019, 5,7 millones de obleas han sido expuestas en herramientas de producción de UVE; Se expusieron 1,7 millones de obleas solo en Q1-Q3, mientras que el número de herramientas aumentó de 31 a 45 (del orden de 10 WPH por herramienta).[7]​ Los problemas que dificultan la adopción de UVE son los costos de las herramientas (los escáneres UVE de ASML pueden costar hasta US$ 120 millones),[8][9]​ tiempo de actividad de la herramienta y fenómenos estocásticos.[10]

A partir de 2023, ASML Holding es la única empresa que produce y vende sistemas UVE para la producción de chips, principalmente dirigidos a 5 nm y nodos de 3 nm. En el International Electron Devices Meeting (IEDM) de 2019, TSMC informó del uso de UVE para 5 nm en capas de contacto, vías, líneas metálicas y cortes, donde los cortes pueden aplicarse a aletas, puertas o líneas metálicas.[11][12]

Herramienta[editar]

Herramienta de LUVE, Laboratorio Nacional Lawrence Livermore.

La herramienta consiste en una fuente de luz de plasma de estaño (Sn) accionada por láser, ópticas reflectivas que comprenden espejos de múltiples capas, contenidas dentro de un ambiente de gas hidrógeno. El hidrógeno se utiliza para mantener el espejo colector UVE en la fuente libre de deposición de Sn.[13]

LUVE es una desviación significativa del estándar de litografía ultravioleta profunda. Toda la materia absorbe la radiación UVE. Por lo tanto, la litografía UVE requiere un vacío. Todos los elementos ópticos, incluida la fotomáscara, deben usar multicapas de molibdeno/silicio (Mo/Si) sin defectos (que consisten en bicapas de 40 Mo/Si) que actúan para reflejar la luz por medio de la interferencia entre capas; Cualquiera de estos espejos absorbe alrededor del 30% de la luz incidente.

Los sistemas LUVE actuales contienen al menos dos espejos multicapa de condensador, seis espejos multicapa de proyección y un objeto multicapa (máscara). Como la óptica ya absorbe el 96 % de la luz UVE, la fuente de UVE ideal deberá ser mucho más brillante que sus antecesoras. El desarrollo de la fuente UVE se ha centrado en los plasmas generados por láser o pulsos de descarga. El espejo responsable de recoger la luz está directamente expuesto al plasma y, por lo tanto, es vulnerable al daño de los iones de alta energía[14][15]​ y otros escombros.[16]

Requerimientos de recursos[editar]

Utilidad Salida de 200 W UVE 90 W de salida ArF inmersión doble patrón
Potencia eléctrica (kW) 532 49
Flujo de agua de enfriamiento (L/min) 1600 75
Gas lines 6 3

Fuente: Gigaphoton, Sematech Symposium Japan, 15 de septiembre de 2010

Los recursos de utilidad requeridos son significativamente más grandes para UVE en comparación con la inmersión de 193 nm, incluso con dos exposiciones utilizando esta última. Hynix informó en el simposio de UVE de 2009 que la eficiencia del tapón de pared era ~0,02 % para UVE, es decir, para obtener 200 vatios a un enfoque intermedio por 100 obleas por hora, uno requeriría 1 megavatio de potencia de entrada, en comparación con 165 -kilowatts para un escáner de inmersión ArF, y que incluso con el mismo rendimiento, la huella del escáner UVE fue aproximadamente 3 veces la huella de un escáner de inmersión ArF, lo que resultó en una pérdida de productividad.[17]​ Además, para confinar desechos de iones, se puede requerir un imán superconductor.[18]

Una herramienta típica de UVE pesa 180 toneladas.[19]

Resumen de características clave[editar]

La siguiente tabla resume las diferencias clave entre los sistemas UVE en desarrollo y los sistemas de inmersión ArF que ya se utilizan ampliamente en la producción actual:

UVE Inmersión ArF
Longitud de onda 13,5 nm 193 nm
Energía de fotones 92 eV 6,4 eV
Fuente de luz CO2 láser + Sn plasma ArF excimer láser
Ancho de banda de longitud de onda 5,9 %[20] <0,16[21]
Electrones secundarios producidos por absorción. Si No
Ópticas Multicapas reflectantes (~40 % de absorción por espejo) Lentes transmisivas
Apertura numérica (NA) 0,25: NXE:3100
0,33: NXE:33x0 y NXE:3400B
Alta NA (0,55): en desarrollo
1,2, 1,35
Resolución spec

Nota: k1 definido aquí como resolución / (longitud de onda / apertura numérica)

NXE:3100: 27 nm (k1=0,5)
NXE:3300B: 22 nm (k1=0,54), 18 nm (k1=0,44) con iluminación fuera de eje
NXE:3350B: 16 nm (k1=0,39)
NXE:3400B: 13 nm (k1=0,32)
38 nm (k1=0,27)
Llamarada 4 %[22] <1 %[23]
Iluminación Ángulo central 6 grados fuera del eje sobre la retícula En eje
Tamaño del campo 0,25 y 0,33 NA: 26 mm X 33 mm
Alta NA: 26 mm X 16,5 mm[22]
26 mm X 33 mm
Aumento 0,25 y 0,33 NA: 4X isomorfo
Alta NA: 4X/8X anamórfico
4X
Ambiente Vacío de hidrógeno Aire (área expuesta de la oblea bajo el agua)
Control de aberraciones (incluyendo térmica) Ninguna Si, e.g., FlexWave[24]
Ranura de iluminación En forma de arco[25] Rectangular
Retículo Patrón en multicapa reflectante Patrón sobre sustrato transmisivo.
Cambio de patrón de oblea con posición vertical del retículo. Sí (debido a la reflexión); ~1:40[26] No
Película Disponible, pero tiene problemas.
Obleas por día (Nota: depende de la herramienta y la dosis) 1000 6000
# herramientas en campo 36 (todos los modelos de herramienta 0,33 NA) >400

Los diferentes grados de resolución entre las herramientas de 0,33 NA se deben a las diferentes opciones de iluminación.

Fuente de luz, rendimiento y disponibilidad[editar]

UVE TPT en función de la dosis. El rendimiento de la oblea de una herramienta UVE es en realidad una función de la dosis de exposición, para una fuente de energía fija.
La degradación del colector UVE a lo largo del tiempo. La reflectividad del colector UVE se degrada en un 10 % en un día (~4 mil millones de pulsos de 50 kHz), lo que lleva a una pérdida de tiempo de actividad y rendimiento.

Los átomos neutros o la materia condensada no pueden emitir radiación UV. La ionización debe preceder a la misión UVE en la materia. La producción térmica de iones positivos compartidos solo es posible en un plasma denso en caliente, que a su vez absorbe fuertemente la UVE.[27]​ A partir de 2016, la fuente de luz UVE establecida es un plasma Sn pulsado con láser.[28]​ Los iones absorben la luz UVE que emiten, y son neutralizados fácilmente por los electrones en el plasma para reducir los estados de carga que producen luz principalmente en otras longitudes de onda inutilizables, lo que resulta en una eficiencia de la luz muy reducida para la litografía con una mayor densidad de potencia del plasma.

El rendimiento está vinculado a la fuente de alimentación, dividido por la dosis.[29]​ Una dosis más alta requiere un movimiento de etapa más lento (menor rendimiento) si no se puede aumentar la potencia del pulso.

El colector UVE tiene una vida útil del orden de meses, durante el cual la transmisión disminuye constantemente, más del 10 % en un día (10 mil millones de pulsos de 50 kHz).[30]​ Esto podría ser debido a la acumulación de residuos de Sn mencionados anteriormente que no se limpian completamente. Por otro lado, las herramientas de litografía de inmersión convencionales para patrones dobles brindan resultados consistentes hasta por un año.[31]

Recientemente, el iluminador NXE: 3400B presenta un índice de llenado de pupila (PFR) más pequeño hasta un 20 % sin pérdida de transmisión.[32]​ La PFR está maximizada y es mayor que 0,2 alrededor de un paso metálico de 45 nm.[33]

Debido al uso de espejos UVE que también absorben la luz UVE, solo una pequeña fracción de la fuente de luz está finalmente disponible en la oblea. Hay 4 espejos utilizados para la óptica de iluminación y 6 espejos para la óptica de proyección. La máscara o retícula UVE es en sí misma un espejo adicional. Con 11 reflejos, solo ~2 % de la fuente de luz UVE está disponible en la oblea.[34]

Otra complicación para el rendimiento de la herramienta UVE es la forma de anillo de la ranura de exposición, en contraste con la forma rectangular convencional.[35]​ En consecuencia, el ancho y la altura de la hendidura se confunden efectivamente de una manera complicada.

Tiempo de actividad de la herramienta[editar]

La fuente de luz UVE limita el tiempo de actividad de la herramienta además del rendimiento. En un período de dos semanas, por ejemplo, se pueden programar más de siete horas de tiempo de inactividad, mientras que el tiempo de inactividad real total, incluidos los problemas no programados, podría exceder fácilmente un día.[34]​ Un error de dosis superior al 2 % garantiza el tiempo de inactividad de la herramienta.[34]

Comparación con otras fuentes de luz litográficas[editar]

Mientras que los láseres de excímero ArF de 193 nm de vanguardia ofrecen intensidades de 200 W/cm²,[36]​ los láseres para producir plasmas generadores de UVE deben ser mucho más intensos, del orden de 1011 W/cm².[37]​ Una fuente de luz de litio de inmersión de 120 W de ArF de última generación no requiere más de 40 kW,[38]​ mientras que las fuentes UVE están destinadas a superar los 40 kW.[39]

El objetivo de potencia para la litografía UVE es de al menos 250 W, mientras que para otras fuentes de litografía convencionales, es mucho menos.[34]​ Por ejemplo, las fuentes de luz de litografía de inmersión apuntan a 90 W, las fuentes de ArF secas a 45 W y las fuentes de KrF a 40 W. Se espera que las fuentes UVE con alto contenido de NA requieran al menos 500 W.[34]

Cuestiones estocásticas de la UVE[editar]

Los modos de falla complementarios reducen la ventana de la dimensión crítica (CD) a un tono de 36 nm.
Probabilidades de fallo estocástico para espacios de 20-22 nm a dosis de 30 mJ/cm² de UVE en el rango de tono de 40-80 nm.

La litografía UVE es particularmente sensible a los efectos estocásticos.[40]​ En una gran cantidad de características impresas por UVE, aunque la gran mayoría está resuelta, algunas sufren una falla completa de impresión, por ejemplo. Faltan agujeros o líneas de puente. Una contribución significativa conocida a este efecto es la dosis utilizada para imprimir. Esto está relacionado con el problema del ruido de disparo, que se tratará más adelante. La probabilidad de falla estocástica aumenta exponencialmente a medida que disminuye el tamaño de la característica, y para el mismo tamaño de característica, aumentar la distancia entre las características también aumenta significativamente la probabilidad.[41]​ Los cortes de línea que están relativamente espaciados son un problema importante. El rendimiento requiere la detección de fallas estocásticas por debajo de 1e-12.[41]

Pueden existir múltiples modos de falla para la misma población. Por ejemplo, además del puente de las trincheras, las líneas que separan las trincheras pueden romperse. Esto puede atribuirse a la pérdida de resistencia estocástica.[40]​ Esta resistencia a la pérdida puede ocurrir a partir de electrones secundarios.[42]

La capa inferior de resistencia también juega un papel importante.[41]​ Esto podría deberse a los electrones secundarios generados por la capa inferior.[43]​ Los electrones secundarios pueden eliminar más de 10 nm de resistencia del borde expuesto.[42][44]

El nivel de defectos es del orden de 1K/mm².[45]

Cuestiones ópticas específicas del UVE[editar]

Llamarada[editar]

La llamarada es la presencia de luz de fondo que se origina a partir de la dispersión de características de la superficie que no se resuelven con la luz. En los sistemas UVE, esta luz puede ser UVE o luz fuera de banda (OoB) que también es producida por la fuente UVE. La luz de OoB agrega la complicación de afectar la exposición de resistencia en formas distintas a las explicadas por la exposición de UVE. La exposición a la luz de OoB puede aliviarse con una capa recubierta por encima de la resistencia, así como con las características de "borde negro" en la máscara UVE.[46]​ Sin embargo, el recubrimiento de capa absorbe inevitablemente la luz UVE, y el borde negro agrega el costo de procesamiento de la máscara UVE.

Electrones secundarios[editar]

La luz UVE genera fotoelectrones al ser absorbidos por la materia. Estos fotoelectrones a su vez generan electrones secundarios, que disminuyen la velocidad antes de involucrarse en reacciones químicas.[47]​ Cabe señalar que, a dosis suficientes, se sabe que los electrones de 40 eV penetran en el espesor de 180 nm, lo que lleva al desarrollo.[48]​ Más detalles sobre los electrones secundarios en la exposición fotoprotectora UVE se proporcionan a continuación. A una dosis de 160 μC/cm2, correspondiente a 15 mJ/cm2 UVE dosis, suponiendo que un electrón/fotón, 30 eV electrones extraídos 7 nm de PMMA resisten después del desarrollo estándar.[49]​ Para una dosis más alta de 30 eV de 380 μC/cm2, equivalente a 36 mJ/cm2 a un electrón/fotón, se eliminan 10,4 nm de PMMA resist.[50]​ Estos indican las distancias que los electrones pueden viajar en resistencia, independientemente de la dirección.[51]

Óptica reflexiva[editar]

Un aspecto fundamental de las herramientas LUVE, resultado del uso de ópticas reflectivas, es la iluminación fuera del eje (en un ángulo de 6 grados, en diferentes direcciones en diferentes posiciones dentro de la rendija de iluminación)[52]​ en una máscara de múltiples capas. Esto conduce a efectos de sombreado que dan como resultado una asimetría en el patrón de difracción que degradan la fidelidad del patrón de varias maneras como se describe a continuación.[53]

Efectos de sombreado de máscara gruesa[editar]

La incidencia oblicua en un sistema óptico reflectante conduce a efectos de sombra en presencia de un absorbente de máscara. Por ejemplo, un lado (detrás de la sombra) aparecerá más brillante que el otro (dentro de la sombra).[54]

Asimetría H-V[editar]

UVE no telecentrismo. Izquierda: debido a las grandes diferencias de ángulo de reflexión de varias capas, un lado de la pupila de iluminación produce más luz reflejada. Derecha: En consecuencia, la iluminación de un lado será dominante. Esto da como resultado una diferencia de trayectoria óptica entre los órdenes de difracción con respecto al desenfoque, lo que lleva a una tendencia a que el patrón se desplace.

Fundamentalmente, el comportamiento de los rayos de luz dentro del plano de reflexión (que afecta a las líneas horizontales) es diferente del comportamiento de los rayos de luz fuera del plano de reflexión (que afecta a las líneas verticales).[55]​ De manera más visible, las líneas horizontales y verticales de tamaño idéntico en la máscara UVE se imprimen en diferentes tamaños en la oblea.

Diferencia de CD de 2 barras vs. enfoque. La diferencia entre los anchos de dos líneas horizontales adyacentes varía en función del enfoque.

Asimetrías en conjuntos de líneas paralelas[editar]

La combinación de la asimetría fuera del eje y el efecto de sombreado de la máscara conduce a una incapacidad fundamental de dos características idénticas, incluso en las proximidades cercanas, para estar enfocadas simultáneamente.[56]​ Una de las cuestiones clave de LUVE es la asimetría entre la línea superior e inferior de un par de líneas horizontales (las llamadas "dos barras"). Algunas formas de compensar parcialmente son el uso de funciones de asistencia y la iluminación asimétrica.[57]

Una extensión de la caja de dos barras a una rejilla que consta de muchas líneas horizontales muestra una sensibilidad similar al desenfoque.[58]​ Se manifiesta en la diferencia de dimensión crítica (CD) entre las líneas de borde superior e inferior del conjunto de 11 líneas horizontales. La siguiente tabla enumera la diferencia de CD en el rango de enfoque de 100 nm bajo la iluminación del cuásar (la iluminación del cuásar se describirá en la sección sobre iluminación óptima en función del tono).

Tono Diferencia horizontal de 11 barras en la parte inferior superior del CD en un rango de enfoque de 100 nm (cuásar)
36 nm 3,5 nm
40 nm 2,5 nm
44 nm 1,7 nm

Para tonos de 40 nm o menos, los anchos de línea son de 20 nm o menos, mientras que la diferencia de CD es de al menos 2,5 nm, lo que resulta en una diferencia de al menos 12,5 %.

Aparición de dos barras en diseño irregular. Un diseño irregular puede incluir ubicaciones de dos barras que son propensas a la obtención de imágenes asimétricas.

Cambio de patrón de desenfoque (no telecentricidad)[editar]

Mascarilla de colocación vertical[editar]

El uso de la reflexión hace que la posición de exposición de la oblea sea extremadamente sensible a la planicie del retículo y la abrazadera del retículo. Por lo tanto, es necesario mantener la limpieza de la pinza de retícula. Pequeñas desviaciones (escala mrad) en la planitud de la máscara en la pendiente local, junto con el desenfoque de la oblea.[59]​ Más significativamente, se ha encontrado que el desenfoque de la máscara produce grandes errores de superposición.[60][61]​ En particular, para una capa de 1 nodo de metal de 10 nm (incluidas 48 nm, 64 nm, 70 nm tonos, líneas aisladas y eléctricas), el error de colocación del patrón no corregible fue de 1 nm para el cambio de posición z de la máscara de 40 nm.[62]​ Este es un cambio de patrón global de la capa con respecto a las capas previamente definidas. Sin embargo, las características en diferentes ubicaciones también cambiarán de manera diferente debido a diferentes desviaciones locales de la planitud de la máscara, por ejemplo, de defectos enterrados bajo la multicapa. Se puede estimar que la contribución de la falta de planeidad de la máscara al error de superposición es aproximadamente 1/40 veces la variación del espesor de pico a valle.[63]​ Con la especificación de 50 nm de pico a valle en blanco, es posible un error de colocación de imagen de ~1,25 nm. Las variaciones de grosor en blanco de hasta 80 nm también contribuyen, lo que lleva a un cambio de imagen de hasta 2 nm.[64]

Desenfoque de la oblea[editar]
Desvío del patrón de la capa de metal del nodo de 10 nm vs desenfoque. Diferentes patrones en la capa metálica de nodo de 10 nm (24 nm hp) se desplazan de manera diferente a través del enfoque, dependiendo de la orientación y la posición de la hendidura, así como de la disposición.

La iluminación fuera del eje de la retícula es también la causa de la no telecentricidad en el desenfoque de obleas, que consume la mayor parte del presupuesto de superposición de 1,4 nm del escáner NXE: 3400 UVE[65]​ incluso para reglas de diseño tan sueltas como 100 nm.[66]​ El peor error de colocación de patrón incorregible para una línea de 24 nm fue de aproximadamente 1,1 nm, en relación con una línea eléctrica adyacente de 72 nm, por cada 80 nm de desplazamiento de la posición de enfoque de la oblea en una sola posición de hendidura; cuando se incluye el rendimiento a través de la rendija, el peor error es más de 1,5 nm en la ventana de desenfoque de la oblea.[62]​ En 2017, un microscopio actínico que simulaba un sistema de litografía NA UVE de 0,33 con iluminación de cuásar 45 de 0,2/0,9 mostró que una matriz de contacto de tono de 80 nm cambió de -0,6 a 1,0 nm mientras que una matriz de contacto de tono de 56 nm cambió de -1,7 a 1,0 nm con relación a un línea de referencia horizontal, dentro de una ventana de desenfoque de +/-50 nm.[67]

El desenfoque de la oblea también conduce a errores de colocación de la imagen debido a desviaciones de la planitud de la máscara local. Si la pendiente local se indica con un ángulo α, se proyecta que la imagen se desplace en una herramienta de proyección 4x en 8 α x (PDE/2)=4 α PDE, donde PDE es la profundidad de enfoque.[68]​ Para una profundidad de enfoque de 100 nm, una pequeña desviación local de la planitud de 2,5 mrad (0,14°) puede llevar a un cambio de patrón de 1 nm.

Efectos de punta de línea[editar]

Un desafío clave para UVE es el comportamiento de contraescalado de la línea de punta a punta (T2T, "tip-to-tip") a medida que se reduce el semitono (hp, "half-pitch"). Esto se debe en parte al menor contraste de la imagen para las máscaras binarias utilizadas en la litografía UVE, que no se encuentra con el uso de máscaras de cambio de fase en la litografía de inmersión.[69][70]​ El redondeo de las esquinas del extremo de la línea conduce a un acortamiento del extremo de la línea,[71]​ y esto es peor para las máscaras binarias.[72]​ Se ha estudiado el uso de máscaras de cambio de fase en la litografía UVE, pero se encuentran dificultades con el control de fase en capas delgadas[73]​ así como el ancho de banda de la propia luz UVE.[74]​ Más convencionalmente, la corrección de proximidad óptica (CPO o OPC) se utiliza para abordar el redondeo de la esquina y el acortamiento del final de línea. A pesar de esto, se ha demostrado que la resolución de punta a punta y la capacidad de impresión de la punta de la línea se intercambian entre sí, siendo efectivamente CDs de polaridad opuesta.[75]​ Además, la efectividad de las correcciones ópticas depende de otras causas no ópticas, como la resistencia al desenfoque y los efectos de difusión, que también pueden incluir el desenfoque de electrones secundarios (que se analiza en la sección sobre exposición a la fotoprotección).[76]​ Además, los pesos y tamaños moleculares más grandes parecen reducir el redondeo de las esquinas.[77]

En las capas de metal unidireccionales, el espaciado de punta a punta es uno de los problemas más graves para los patrones de exposición única. Para las líneas verticales de paso de 40 nm, una separación nominal de punta a punta nominal de 18 nm resultó en una distancia real de punta a punta de 29 nm con CPO (corrección de proximidad óptica),[78]​ mientras que para líneas horizontales de 32 nm, la distancia de punta a punta con un espacio nominal de 14 nm fue de 31 nm con CPO.[79]​ Estas distancias reales de punta a punta definen un límite inferior del medio paso del metal que corre en la dirección perpendicular a la punta. En este caso, el límite inferior es de alrededor de 30 nm. Con una optimización adicional de la iluminación (analizada en la sección sobre optimización de máscara de fuente), el límite inferior se puede reducir aún más a alrededor de 25 nm.[80]

Para tonos más grandes, donde se puede usar iluminación convencional, la distancia de línea a punta es generalmente mayor. Para las líneas de medio paso de 24 nm, con una separación nominalmente dibujada de 20 nm, la distancia fue en realidad de 45 nm, mientras que para las líneas de medio tono de 32 nm, la misma separación nominal resultó en una distancia de punta a punta de 34 nm.[79]​ Con CPO, estos se convierten en 39 nm y 28 nm para el semitono de 24 nm y el semitono de 32 nm, respectivamente.[81]

El espacio impreso entre la punta de una línea y la línea perpendicular a la que se enfrenta es de 25-35 nm para líneas de medio paso de 22 nm (con un espacio vacío nominal de 20 nm).[81]​ Para un patrón de espacio de línea de 22 nm con un hueco nominal de 22 nm, la iluminación convencional produce una distancia de punta a línea de 38 nm, mientras que la iluminación de cuásar produce una distancia de 28 nm.[82]​ La brecha de punta a lado es una de las características más difíciles de imprimir en un patrón bidireccional.[81]

Resumen de la línea de la UVE y los efectos de esquina:[83]

Redondeo de la esquina Punta a punta Punta a lado
~25 nm 28 nm 28 nm

Fuente: Semicon West 2017, IBM

La distancia de separación de la línea final de 28 nm esencialmente obliga a que los tonos sean de al menos 56 nm para el patrón bidireccional de exposición única de UVE. El paso de metal mínimo del nodo de 7 nm ya está a 40 nm o menos, mientras que el paso de la puerta también puede estar por debajo de 56 nm,[84][85]​ por lo que esta es una indicación de que se necesitarían múltiples patrones incluso para UVE a 7 nm.[86]

Dependencia de la posición de hendidura[editar]

La dirección de la iluminación también depende en gran medida de la posición de la hendidura. Por lo tanto, patrones de troquel idénticos en diferentes mitades de la rendija obtendrían diferentes CPO. Esto los hace irresponsables por la comparación de morir a morir, ya que ya no son dados realmente idénticos.

La dependencia de la posición de la hendidura es particularmente difícil para los patrones inclinados encontrados en la DRAM.[87]​ Además de los efectos más complicados debido al sombreado y la rotación de la pupila, los bordes inclinados se convierten en forma de escalera, que puede estar distorsionada por CPO.

Aberraciones a través de la hendidura[editar]

Aberraciones, originadas por desviaciones de superficies ópticas de especificaciones subatómicas (<0,1 nm)[88]​ así como deformaciones térmicas[89][90]​ y posiblemente incluyendo efectos de reflectancia polarizados,[91]​ también son dependientes de la posición de la hendidura,[92][90]​ como se explicará más adelante, con respecto a la optimización de la máscara de origen (SMO). Se espera que las aberraciones inducidas térmicamente muestren diferencias entre las diferentes posiciones a lo largo de la ranura, correspondientes a diferentes posiciones de campo, ya que cada posición encuentra diferentes partes de los espejos deformados.[93]​ Irónicamente, el uso de materiales de sustrato con alta estabilidad térmica y mecánica hace que sea más difícil compensar los errores de frente de onda.[94]

Oportunidades de mejora para el patrón de UVE[editar]

Funciones de asistencia[editar]

Las funciones de asistencia se usan a menudo para ayudar a equilibrar la asimetría de no telecentricidad en diferentes posiciones de rendija, debido a diferentes ángulos de iluminación, comenzando en el nodo de 7 nm.[95][96]​ Sin embargo, la asimetría se reduce pero no se elimina por completo, ya que las funciones de asistencia mejoran principalmente las frecuencias espaciales más altas, mientras que las frecuencias espaciales intermedias, que también afectan el enfoque y la posición de las funciones, no se ven muy afectadas. El acoplamiento entre la imagen primaria y las imágenes propias es demasiado fuerte para que la asimetría se elimine por completo con las funciones de asistencia; Solo la iluminación asimétrica puede lograr esto.[57]​ Las funciones de asistencia también pueden obstaculizar el acceso a los rieles de alimentación/tierra. Se espera que los rieles eléctricos sean más anchos, lo que también limita la efectividad del uso de las funciones de asistencia, al limitar el tono local. Los tonos locales entre 1x y 2x el tono mínimo no permiten la colocación de la función de asistencia, ya que simplemente no hay espacio para preservar la simetría del tono local. De hecho, para la aplicación al caso de asimetría de dos barras, la ubicación óptima de la función de asistencia puede ser menor o mayor que la inclinación de dos barras.[96]​ Dependiendo del parámetro a optimizar (área de la ventana de proceso, profundidad de enfoque, latitud de exposición), la configuración óptima de la función de asistencia puede ser muy diferente, por ejemplo, el tono entre la función de asistencia y la barra es diferente del tono de dos barras, simétrico o asimétrico, etc.

En los tonos más pequeños que 58 nm, hay una compensación entre la mejora de la profundidad de enfoque y la pérdida de contraste por la colocación de la función de asistencia.[96]​ En general, todavía hay un compromiso de exposición de enfoque ya que la ventana de dosis está limitada por la necesidad de que las funciones de asistencia no se impriman accidentalmente.

Una preocupación adicional proviene del ruido de disparo;[97]​ las funciones de asistencia de sub-resolución (SRAF) hacen que la dosis requerida sea más baja, para no imprimir las funciones de asistencia de forma accidental.[98]​ Esto resulta en menos fotones que definen características más pequeñas (vea la discusión en la sección sobre ruido de disparo).

Ahora se sabe que el mecanismo subyacente para la asimetría es un sombreado diferente desde diferentes ángulos de incidencia. Por lo tanto, reducir el grosor del absorbedor sería la forma más directa de resolver el problema.[99]

Optimización de máscara de fuente[editar]

La optimización de máscara de fuente (SMO) se utiliza para reducir el cambio de patrón para diferentes características en una capa de metal (dirigida a CD de 16 nm con paso de ancla de 32 nm) en una sola exposición, pero no puede satisfacer todos los casos posibles.

Debido a los efectos de la no telecentricidad, las formas de alumbrado estándar de la pupila, como un disco o anular, no son suficientes para usar con tamaños de función de ~20 nm o menos (nodo de 10 nm y más).[66]​ En cambio, ciertas partes de la pupila (a menudo más del 50 %) deben ser excluidas asimétricamente. Las partes a excluir dependen del patrón. En particular, las líneas más densas permitidas deben alinearse a lo largo de una dirección y prefieren una forma de dipolo. Para esta situación, se requeriría una litografía de doble exposición para los patrones 2D, debido a la presencia de ambos patrones orientados a X e Y, cada uno de los cuales requiere su propia máscara de patrón 1D y orientación dipolar.[100][101]​ Puede haber 200–400 puntos de iluminación, cada uno de los cuales contribuye con el peso de la dosis para equilibrar la imagen general a través del enfoque. Por lo tanto, el efecto de ruido de disparo (que se analizará más adelante) afecta de manera crítica la posición de la imagen a través del enfoque, en una gran cantidad de características.

También se requeriría un patrón doble o múltiple si un patrón consiste en sub-patrones que requieren iluminaciones optimizadas significativamente diferentes, debido a diferentes tonos, orientaciones, formas y tamaños.

Impacto de las aberraciones[editar]

En gran parte debido a la presencia de aberraciones residuales,[102]​ La efectividad de SMO varía a lo largo de la posición de corte.[103]​ En cada posición de la hendidura, hay diferentes aberraciones[92]​ y diferentes ángulos de incidencia azimutales que conducen a diferentes sombras.[104]​ En consecuencia, podría haber variaciones no corregidas a través de la rendija para características sensibles a la aberración, que pueden no ser vistas obviamente con patrones regulares de espacio de línea.[96]​ En cada posición de la hendidura, aunque la corrección de proximidad óptica (CPO), incluidas las características de asistencia mencionadas anteriormente, también se puede aplicar para tratar las aberraciones,[105][106]​ también retroalimentación en la especificación de iluminación,[107][103][108][109]​ Ya que los beneficios difieren para diferentes condiciones de iluminación.[105]​ Esto requeriría el uso de diferentes combinaciones de máscara de fuente en cada posición de la rendija, es decir, múltiples exposiciones de máscara por capa.[92]

Iluminación óptima en función del tono[editar]

La iluminación óptima, teniendo en cuenta las ventanas de dosis de exposición y enfoque, es una función importante del tono en el rango entre 32 nm y 48 nm (relevante para nodos de fundición de 7 nm y 10 nm), que es donde la mayoría del trabajo en UVE La aplicación ha sido enfocada. Para pasos mayores a 44 nm, la forma de la pupila de iluminación es preferiblemente convencional, que es un disco circular, que posiblemente incluya un oscurecimiento central para proporcionar una apariencia anular.[79]​ Para tonos en el rango de 44 nm a 34 nm, la forma óptima ya no es convencional o anular, sino que tiene una forma más parecida al "cuásar" (anular en forma de cuadrupolo)[110]​ fuente, es decir, un arco dentro de cada cuadrante de la pupila.[79]​ Para pasos de 32 nm y por debajo, la iluminación óptima se vuelve más parecida a un dipolo, es decir, se concentra hacia la parte superior e inferior o hacia los extremos izquierdo y derecho de la pupila.[78]​ Cuando se realiza la optimización de la máscara de la fuente, la forma resultante se asemejará a la más cercana del conjunto estándar (convencional, anular, cuásar, dipolo). Para lanzamientos de menos de 41 nm, la parte central de la pupila debe excluirse para una herramienta con NA=0,33, ya que la luz de 13,5 nm de UVE que atraviesa esa parte solo contribuirá al orden de difracción cero (luz no dispersada), lo que agregará destellos.[111]

Pitch Forma de iluminación estándar más cercana a la óptima
48 nm Convencional / anular
44 nm cuásar o convencional / anular
40 nm cuásar o convencional / anular
36 nm cuásar o convencional / anular
32 nm Dipolo
28 nm Dipolo

Ventanas de enfoque dependientes del tono[editar]

La mejor posición de enfoque vs tono. La mejor posición de enfoque varía fuertemente en función del tono.

En el rango de tono de 48-64 nm, la mejor posición de enfoque se desplaza más o menos linealmente en función del tono, hasta en 10-20 nm.[112]​ Para el rango de tono de 34-48 nm, la mejor posición de enfoque se desplaza más o menos linealmente en la dirección opuesta en función del tono. Esto se puede correlacionar con la diferencia de fase entre las órdenes de difracción cero y primera.[113]​ Se encontró que las funciones de asistencia, si pueden caber dentro del tono, no reducen mucho esta tendencia, para un rango de tonos intermedios,[114]​ o incluso lo empeoró para el caso de 18-27 nm y la iluminación del cuásar.[115]​ Los orificios de contacto de 50 nm en 100 nm y 150 pasos tenían las mejores posiciones de enfoque separadas por aproximadamente 25 nm; se espera que las características más pequeñas sean peores.[116]​ Los orificios de contacto en el rango de paso de 48-100 nm mostraron un mejor rango de enfoque de 37 nm.[117]​ La mejor posición de enfoque vs. tono también depende de la resistencia.[118]​ Las capas críticas a menudo contienen líneas en un paso mínimo de una polaridad, por ejemplo, zanjas de campo oscuro, en una orientación, por ejemplo, verticales, mezcladas con espacios de la otra polaridad de la otra orientación. Esto a menudo aumenta las mejores diferencias de enfoque y desafía las imágenes de punta a punta y de punta a línea.[119]

Iluminaciones para nodos avanzados[editar]

Para el nodo "5nm" de fundición, el paso de metal mínimo para líneas horizontales se toma alrededor de 32 nm,[120]​ para los cuales se prefiere la iluminación tipo dipolo, pero el paso de metal mínimo para líneas verticales (paralelas a las puertas) se toma alrededor de 40 nm,[120]​ para lo cual se prefiere la iluminación de tipo cuásar. Además, para el nodo de "7nm" de fundición, el paso de metal mínimo para líneas horizontales se toma en torno a los 40 nm,[120]​ para la cual se espera una iluminación similar a un cuásar, mientras que el paso de metal mínimo para líneas verticales puede tomarse en alrededor de 50 nm,[120]​ Para lo cual se prefiere la iluminación convencional o anular. Para la iluminación del cuásar, la mejor posición de enfoque varía fuertemente en función del tono, particularmente de 36-40 nm en comparación con 48-60 nm, así como en el rango de 48-72 nm.[121]​ Para estos nodos, es imposible tener un solo ajuste de iluminación de exposición UVE que se adapte a las dos direcciones de la línea de metal en diferentes tonos respectivos. Se esperan capas de metal unidireccionales para estos nodos de todos modos.[120]​ En este caso, se espera que la brecha entre puntas y líneas se mantenga lo suficientemente pequeña utilizando exposiciones de corte en un escenario de patrones múltiples.[78]

Máscaras de cambio de fase[editar]

Una ventaja comúnmente promocionada de UVE ha sido la relativa facilidad de la litografía, como lo indica la relación entre el tamaño de la característica y la longitud de onda multiplicada por la apertura numérica, también conocida como la relación k1. Un ancho de línea metálico de 18 nm tiene un k1 de 0,44 para una longitud de onda de 13,5 nm, 0,33 NA, por ejemplo. Para el k1 que se aproxima a 0,5, se ha usado alguna mejora de resolución débil que incluye máscaras de cambio de fase atenuadas como esenciales para la producción con la longitud de onda del láser ArF (193 nm),[122][123][124][125][126][127]​ Considerando que esta mejora de resolución no está disponible para UVE.[128][129]​ En particular, los efectos de máscara 3D que incluyen la dispersión en los bordes del absorbente distorsionan el perfil de fase deseado.[129]​ Además, el perfil de fase se deriva efectivamente del espectro de onda plana reflejado desde la multicapa a través del absorbedor en lugar de la onda plana incidente.[130]​ Sin absorbentes, la distorsión de campo cercano también se produce en una pared lateral grabada de múltiples capas debido a la iluminación de incidencia oblicua;[131]​ Algunas luces solo atraviesan un número limitado de bicapas cerca de la pared lateral. Además, las diferentes polarizaciones (TE y TM) tienen diferentes cambios de fase.[54]

Exposición fotorresistente[editar]

Cuando se absorbe un fotón UVE, se generan fotoelectrones y electrones secundarios por ionización, de forma muy similar a lo que ocurre cuando los rayos X o los haces de electrones son absorbidos por la materia.[132]​ 10 mJ/cm² la dosis de fotones de UVE da como resultado la generación de dosis de fotoelectrones de 109 uC/cm². La resistencia más absorbente elimina más luz en la parte superior de la resistencia, dejando menos para la parte inferior de la resistencia. La absorción más grande conduce a diferencias más grandes y más significativas entre las dosis absorbidas en la parte superior e inferior de la resistencia.

Profundidad resistente Absorción (1/um) Absorción (5/um) Absorción (20/um)
Top 10 nm 1 % 5 % 18 %
10-20 nm de profundidad 1 % 4,5 % 15 %
20–30 nm de profundidad 1 % 4,5 % 12 %
30–40 nm de profundidad 1 % 4 % 10 %
40–50 nm de profundidad 1 % 4 % 8%

En otras palabras, cuanto menos absorba la resistencia, más uniformemente vertical será la absorción. Convencionalmente, las fotoprotecciones se hacen lo más transparentes posible para luchar por esta uniformidad vertical, que permite perfiles de resistencia más rectos. Por otro lado, para UVE, esto entra en conflicto con el objetivo de aumentar la absorción para aumentar la sensibilidad a los niveles actuales de potencia de UVE. El ruido del disparo es otra preocupación, que se explicará más adelante.

Impacto del fotoelectrónico y de los electrones secundarios sobre la resolución[editar]

Resiste la pérdida de los fotoelectrones de 80 eV UVE. Se espera que el fotoelectrón de 80 eV incurra en una pérdida de resistencia de ~7,5 nm, lo que dificultaría el control de las dimensiones de resistencia dentro de ~15 nm.
Resiste la pérdida de los fotoelectrones UVE desacelerados a 30 eV. Los electrones de 30 eV (de los fotoelectrones ralentizados) dan como resultado una pérdida de resistencia significativa, así como una reticulación a dosis más altas en PMMA.

Un estudio realizado por el Colegio de Ciencias e Ingeniería a Nanoescala (CNSE, por sus siglas en inglés) presentado en el Taller LUVE de 2013 indicó que, como una medida del fotoelectrón UVE y el desenfoque de electrones secundarios, los electrones de 50–100 eV penetraron fácilmente más allá de los 15 nm de espesor de resistencia (PMMA o resistencia comercial), que indica un rango de resistencia de más de 30 nm afectado centrado en el punto de absorción UVE, para dosis superiores a 200–300 uC/cm².[133]​ Esto se puede comparar con la degradación del contraste de la imagen notificada para los tonos de sub-40 nm más adelante en 2015.[43]

TEl proceso de penetración de electrones a través de una resistencia es esencialmente un proceso estocástico; existe una probabilidad limitada de que la resistencia a la exposición de los electrones liberados pueda ocurrir bastante lejos del punto de absorción de fotones.[134]​ Aumentar la dosis aumenta el número de electrones de gran alcance, lo que resulta en una pérdida de resistencia más prolongada. Una resistencia líder en UVE amplificada químicamente expuesta a 80 eV electrones a una dosis de hasta 80 uc/cm² mostró una pérdida de espesor de hasta 7,5 nm.[135]​ Para una resistencia de fuente abierta expuesta a cerca de 200 uC/cm² por 80 eV de electrones, el espesor de la resistencia perdida después del horneado y el desarrollo post-exposición fue de alrededor de 13 nm, mientras que duplicar la dosis resultó en un aumento de la pérdida a 15 nm.[42]​ Por otro lado, para dosis >500 uc/cm², la resistencia comienza a espesarse debido a la reticulación.[135]

Se ha demostrado que el grado de emisión de fotoelectrones de la capa subyacente a la fotoprotección UVE afecta la profundidad de enfoque.[136]​ Desafortunadamente, las capas de máscara dura tienden a aumentar la emisión de fotoelectrones, degradando la profundidad del enfoque.

Carga y captura de electrones[editar]

Debido a la producción de electrones secundarios de varias energías, la carga de la resistencia puede fluctuar localmente.[137]​ Una exposición de UVE con menos desenfoque conduce a diferencias de carga más pronunciadas en el borde de la característica,[138]​ lo que puede llevar a campos eléctricos más grandes.[139]​ Se ha observado que campos eléctricos tan grandes conducen a una ruptura dieléctrica.[137]​ La captura de electrones secundarios conduce a una reducción de los electrones secundarios emitidos por la película;[137]​ sin embargo, los sitios de trampa pueden agotarse, lo que resulta en un desenfoque de electrones secundarios extendidos efectivamente para dosis más grandes.[140]​ Se predice que el atrapamiento de electrones ocurrirá como parte del comportamiento polarónico,[141][142]​ lo que limita la deposición de energía final del electrón para atrapar las ubicaciones del sitio. El tamaño del polarón puede ser bastante grande en resistencias, por ejemplo, 46 nm en PMMA.[142]

Sensibilidad DUV[editar]

Se debe tener en cuenta que las resistencias de UVE también se pueden exponer por longitudes de onda más largas que las de UVE, en particular las longitudes de onda VUV y DUV en el rango de 150–250 nm.[143]

Resistir la desgasificación[editar]

Contaminación por desgasificación frente a la dosis de UVE: El aumento de la dosis al tamaño (Esize) para reducir el ruido y la rugosidad de los disparos tiene el precio del aumento de la contaminación por desgasificación. El grosor de contaminación que se muestra aquí es relativo a una resistencia de referencia.

Debido a la alta eficiencia de la absorción de UVE por fotoprotectores, el calentamiento y la desgasificación se convierten en preocupaciones principales. Los fotoprotectores orgánicos superan los hidrocarburos[144]​ mientras que los fotoprotectores de óxido metálico expulsan el agua y el oxígeno[145]​ y metal (en un ambiente de hidrógeno); lo último es impecable. Se sabe que la contaminación por carbono afecta la reflectividad de múltiples capas, mientras que el oxígeno es particularmente dañino para las capas de recubrimiento de rutenio en la óptica de múltiples capas de UVE.[146]

Efectos de contaminación[editar]

Un problema bien conocido es la deposición de contaminación en la resistencia de los hidrocarburos a temperatura ambiente o desgasificada, que resulta de reacciones impulsadas por electrones o UVE.[147]

Efectos secundarios del hidrógeno para eliminar la contaminación: redeposición de estaño, formación de ampollas, resistencia a la erosión[editar]

El hidrógeno atómico en las cámaras de herramientas se utiliza para limpiar el estaño y el carbono que se depositan en las superficies ópticas de UVE.[148]​ La reacción con estaño en la fuente de luz o resistir o en una superficie óptica para formar SnH4 volátil procede a través de la reacción:

[148]

El SnH4 puede alcanzar los recubrimientos de otras superficies ópticas de UVE, donde vuelve a depositar Sn a través de la reacción:

[148]

El Sn redepositado[149][150]​ podría eliminarse posteriormente por exposición a hidrógeno atómico.

La eliminación del carbono procede de la formación de metano a temperaturas más bajas o de la formación de acetileno a temperaturas más altas:[148]

Formación de metano

Formación de acetileno

El hidrógeno atómico es producido por la luz UVE directamente fotoionizante H2:

[151]

Los electrones generados en la reacción anterior también pueden disociar el H2 para formar hidrógeno atómico:

[151]

El hidrógeno también reacciona con compuestos que contienen metales para reducirlos a metales,[152]​ y se difunde a través del silicio[153]​ y molibdeno[154]​ en la multicapa, eventualmente causando ampollas.[155][156]​ Las capas limitadoras que mitigan el daño relacionado con el hidrógeno a menudo reducen la reflectividad a muy por debajo del 70%.[155]​ Se sabe que las capas protectoras son permeables a los gases ambientales, incluido el oxígeno[157]​ y el hidrógeno,[158][159][160]​ así como susceptibles a los defectos de ampollas inducidas por el hidrógeno.[161]​ El hidrógeno también puede reaccionar con la capa de recubrimiento, dando como resultado su eliminación.[162]​ El hidrógeno también reacciona con la resistencia al ataque químico[163][164]​ o descomponerlos.[165]​ Además de la fotoprotección, los plasmas de hidrógeno también pueden grabar silicio, aunque muy lentamente.[166]

Membrana[editar]

Para ayudar a mitigar los efectos anteriores, la última herramienta UVE introducida en 2017, la NXE: 3400B, cuenta con una membrana que separa la oblea de la óptica de proyección de la herramienta, protegiendo a este último de la desgasificación de la resistencia en la oblea.[32]​ La membrana contiene capas que absorben la radiación DUV e IR, y transmite el 85-90% de la radiación UVE incidente. Por supuesto, hay contaminación acumulada por desgasificación de obleas y partículas en general (aunque estas últimas están desenfocadas, aún pueden obstruir la luz).

Defectos de la máscara[editar]

Máscara de impresión de defectos de la máscara UVE. Los defectos con alturas de escala atómica pueden afectar a las dimensiones impresas por UVE aunque estén enterradas por muchas capas. Fuente: Laboratorio Nacional Lawrence Berkeley e Intel.
Imprimibilidad del defecto UVE frente al tono. La capacidad de impresión (en este caso, un 10 % de CD) de un defecto de una altura y anchura determinadas varía con el tono. Tenga en cuenta que incluso la rugosidad de la superficie en la multicapa aquí puede tener un impacto notable.

La reducción de defectos en las máscaras ultravioletas extremas (UVE) es actualmente uno de los problemas más críticos que deben abordarse para la comercialización de la litografía UVE.[167]​ Los defectos se pueden enterrar debajo o dentro de la pila multicapa[168]​ o estar encima de la pila multicapa. Mesas o protuberancias se forman en los objetivos de pulverización utilizados para la deposición de múltiples capas, que pueden caer como partículas durante la deposición de múltiples capas.[169]​ De hecho, los defectos de la altura de la escala atómica (0,3-0,5 nm) con FWHM de 100 nm aún pueden imprimirse al exhibir un 10 % de impacto de CD.[170]​ IBM y Toppan informaron en Photomask Japan 2015 que los defectos más pequeños, por ejemplo, el tamaño de 50 nm, pueden tener un 10% de impacto de CD incluso con una altura de 0,6 nm, sin embargo, permanecen indetectables.[171]

Además, el borde de un defecto de fase reducirá aún más la reflectividad en más del 10 % si su desviación de la planitud supera los 3 grados, debido a la desviación del ángulo de incidencia objetivo de 84 grados con respecto a la superficie. Incluso si la altura del defecto es poco profunda, el borde aún deforma la capa multicapa superior, produciendo una región extendida donde la capa múltiple está inclinada. Cuanto más brusca sea la deformación, más estrecha será la extensión del borde del defecto, mayor será la pérdida de reflectividad.

La reparación de defectos de la máscara UVE también es más complicada debido a la variación de la iluminación a través de la rendija mencionada anteriormente. Debido a la sensibilidad variable del sombreado en la ranura, la altura de deposición de la reparación debe controlarse con mucho cuidado, siendo diferentes en diferentes posiciones en la ranura de iluminación de la máscara UVE.[172]

Daño multicapa[editar]

Múltiples pulsos de UVE a menos de 10 mJ/cm2 podrían acumular daños en un elemento óptico de espejo multicapa Mo/Si con casquillo Ru.[173]​ El ángulo de incidencia fue de 16° o 0,28 rad, que está dentro del rango de ángulos para un sistema óptico de 0,33 NA.

Películas[editar]

Las herramientas de producción de UVE necesitan una película para proteger la máscara de la contaminación. Actualmente, la películas aún no está garantizada para soportar 250 W de potencia necesaria para la fabricación de alto volumen; La especificación es de 40 W.[174]

Normalmente se espera que las películas protejan la máscara de las partículas durante el transporte, la entrada o salida de la cámara de exposición, así como la exposición misma. Sin las películas, los agregadores de partículas reducirían el rendimiento, lo que no ha sido un problema para la litografía óptica convencional con luz y películas de 193 nm. Sin embargo, para UVE, la viabilidad del uso de películas se ve seriamente desafiada, debido a la delgadez requerida de las películas protectoras para evitar la absorción excesiva de UVE. La contaminación de partículas sería prohibitiva si las películas no fueran estables por encima de 200 W, es decir, la potencia objetivo para la fabricación.[175]

El calentamiento de la película de la máscara UVE (temperatura de la película hasta 750 K para 80 W de potencia incidente) es una preocupación importante, debido a la deformación resultante y la disminución de la transmisión.[176]​ ASML desarrolló una membrana de película de polisilicio de 70 nm de espesor, que permite una transmisión UVE del 82 %; sin embargo, menos de la mitad de las membranas sobrevivieron a los niveles de potencia de UVE esperados.[177]​ Las membranas de película de SiNx también fallaron a niveles de potencia de fuente de UVE equivalentes a 82 W.[178]​ En los niveles objetivo de 250 W, se espera que la película alcance los 686 grados centígrados,[179]​ Bien por encima del punto de fusión del aluminio. Los materiales alternativos deben permitir una transmisión suficiente, así como mantener la estabilidad mecánica y térmica. Sin embargo, el grafito, grafeno u otros nanomateriales de carbono (nanosheets, nanotubos) están dañados por UVE debido a la liberación de electrones.[180]​ y también muy fácilmente grabado en el plasma de limpieza de hidrógeno que se espera que se despliegue en los escáneres UVE.[181]​ Plasmas de hidrógeno también pueden grabar silicio también.[182][183]​ Un recubrimiento ayuda a mejorar la resistencia al hidrógeno, pero esto reduce la transmisión y/o la emisividad, y también puede afectar la estabilidad mecánica (por ejemplo, abultamiento).[184]​ La falta actual de cualquier material de película adecuado, agravado por el uso de la limpieza con plasma de hidrógeno en el escáner UVE,[185][186]​ Presenta un obstáculo a la producción en volumen.[187]

En ausencia de películas, la limpieza de la mascarilla de UVE debería verificarse antes de que se expongan las obleas reales del producto, utilizando láminas especialmente preparadas para la inspección de defectos.[188]​ Estas obleas se inspeccionan después de la impresión para detectar defectos que indiquen una máscara sucia; si se encuentra alguno, la máscara debe limpiarse y se expone otro conjunto de obleas de inspección, repitiendo el flujo hasta que la máscara esté limpia. Cualquier oblea de producto afectada debe ser reelaborada.

Defectos de abultamiento de hidrógeno[editar]

Como se mencionó anteriormente, con respecto a la eliminación de la contaminación, el hidrógeno utilizado en los sistemas recientes de UVE puede penetrar en las capas de máscara de UVE. Una vez atrapados, se produjeron defectos de bulto.[161]​ Estos son esencialmente los defectos de las ampollas que surgen después de un número suficiente de exposiciones con mascarillas UVE en el ambiente de hidrógeno.

Límites de escala de rendimiento[editar]

La resolución de la litografía UVE para el futuro enfrenta desafíos para mantener el rendimiento, es decir, cuántas obleas son procesadas por una herramienta UVE por día. Estos desafíos surgen de campos más pequeños, espejos adicionales y ruido de disparo. Para mantener el rendimiento, la potencia en el enfoque intermedio (IF) debe aumentarse continuamente.

Campos reducidos[editar]

Reducción del tamaño del campo por desmagnificación. El aumento de la desmagnificación de 4X a 8X en una dimensión dividiría el campo de imagen completo original en dos partes para preservar la misma área de matriz (26 mm × 33 mm).
Costura en el campo. La combinación de campos de exposición es una preocupación donde las características críticas cruzan un límite de campo (línea de puntos roja).

La preparación de una lente anamórfica con una NA entre 0,5 y 0,6 está en marcha a partir de 2016. La desmagnificación será 8X en una dimensión y 4X en la otra, y el ángulo de reflexión aumentará.[189]

Una mayor desmagnificación aumentará el tamaño de la máscara o reducirá el tamaño del campo impreso. El tamaño reducido del campo dividiría los patrones de chips de tamaño completo (que normalmente ocupan 26 mm x 33 mm) entre dos o más máscaras de UVE convencionales de 6 pulgadas. Fichas grandes (que se acercan o superan los 500 mm²), generalmente utilizadas para GPU[190]​ o servidores,[191]​ tendrían que ser cosidos juntos de dos o más sub-patrones de diferentes máscaras.[192]​ Sin costuras de campo, el tamaño del troquel sería limitado. Con la costura de campo, las características que cruzan los límites del campo tendrían errores de alineación, y el tiempo adicional requerido para cambiar las máscaras reduciría el rendimiento del sistema UVE.[193]

Ruido de disparo: el límite de resolución estadística.[editar]

Ruido de disparo causando variaciones significativas de CD. Un conjunto de agujeros con un patrón de paso de 64 nm muestra los efectos significativos del ruido de disparo con una dosis de UVE de poco más de 10 mJ/cm2. Una dosis mayor resultaría en el mismo rango de variación en un tamaño de muestra mayor.

Con la distribución de Poisson natural debido a los tiempos aleatorios de llegada y absorción de los fotones,[194][195]​ hay una variación de la dosis natural esperada (número de fotones) de al menos varios 3 sigma, lo que hace que el proceso de exposición sea susceptible a variaciones estocásticas. La variación de la dosis conduce a una variación de la posición del borde de la característica, convirtiéndose efectivamente en un componente borroso. A diferencia del límite de resolución rígido impuesto por la difracción, el ruido de disparo impone un límite más suave, siendo la pauta principal la especificación de rugosidad de ancho de línea (LWR) ITRS del 8 % (3s) del ancho de línea.[196]​ Aumentar la dosis reducirá el ruido de disparo,[197]​ pero esto también requiere una mayor fuente de energía.

Una región característica de asistencia de 10 nm de ancho, 10 nm de largo, a una dosis no imprimible de 15 mJ/cm2, con un 10 % de absorción, se define por poco más de 100 fotones, lo que conduce a un ruido de 6s del 59 %, que corresponde a un rango de dosis estocástico de 6 a 24 mJ/cm2, que podría afectar la capacidad de impresión.

Un estudio realizado en 2017 por Intel mostró que para las vías semiaisladas (cuyo disco Airy puede ser aproximado por un gaussiano), la sensibilidad del CD a la dosis fue particularmente fuerte,[198]​ lo suficientemente fuerte como para que una reducción de la dosis pudiera llevar no linealmente a no imprimir la vía.

Dosis mínima para restringir el ruido de disparo para las áreas de tolerancia de variación de proceso:

Ancho de tolerancia Área de tolerancia Dosis para 3s=7 % de ruido (1800 fotones UVE absorbidos, 33 % de absorción)
4 nm 16 nm² 496 mJ/cm²
2 nm 4 nm² 1980 mJ/cm²

Un área de tolerancia de variación de proceso es la región más grande sobre la cual se permite la variación de proceso.

Los dos problemas del ruido de disparo y los electrones liberados por UVE señalan dos factores limitantes: 1) mantener la dosis lo suficientemente alta para reducir el ruido de disparo a niveles tolerables, pero también 2) evitar una dosis demasiado alta debido a la mayor contribución de los fotoelectrones liberados por UVE y los electrones secundarios resisten el proceso de exposición, lo que aumenta el desenfoque del borde y, por lo tanto, limita la resolución. Aparte del impacto de la resolución, una dosis más alta también aumenta la desgasificación[199]​ y limita el rendimiento, y la reticulación[200]​ Ocurre en dosis muy altas. Para resistencias químicamente amplificadas, una mayor exposición a dosis también aumenta la rugosidad del borde de la línea debido a la descomposición del generador de ácido.[201]

Como se mencionó anteriormente, una resistencia más absorbente en realidad conduce a una uniformidad de dosis vertical menor. Esto también significa que el ruido de disparo es peor hacia la parte inferior de una capa de resistencia UVE altamente absorbente.

Incluso con una mayor absorción, UVE tiene un problema de ruido de disparo mayor que la longitud de onda de ArF (193 nm), principalmente porque se aplica a dimensiones más pequeñas y los objetivos de dosis actuales son más bajos debido a los niveles de potencia de fuente disponibles actualmente.

Longitud de onda Tipo de resistencia Absorbencia Espesor Absorción Dosis objetivo Dosis de fotones absorbidos
ArF (193 nm) CAR 1,2/μm[202] 0,08 μm 9 % 30 mJ/cm²[203] 27 fotones/nm²
UVE (13,5 nm) CAR 5/μm[204] 0,05 μm 22 % 30 mJ/cm²[205] 4,5 fotones/nm²
UVE (13,5 nm) Óxido metálico 20/μm[204] 0,02 μm 33 % 30 mJ/cm²[205] 7 fotones/nm²

Como se puede ver arriba, a los niveles de dosis objetivo objetivo, se absorben significativamente menos fotones de UVE en resistencias de UVE en comparación con fotones de ArF en resistencias de ArF. A pesar de la mayor transparencia de la resistencia, el flujo de fotones incidente es aproximadamente 14 veces mayor (193/13,5) para la misma dosis de energía por unidad de área. El grosor de la resistencia está limitado por la transparencia y también por el colapso de la resistencia[206]​ y resistir la tira[207]​ consideraciones.

Uptime y productividad[editar]

El rendimiento actual en el sitio del cliente es de 1200 obleas por día con 80 % de disponibilidad,[208]​ mientras que las herramientas convencionales producen 5000 obleas por día con un 95 % de disponibilidad.[209]​ A partir de 2017, el costo de un proceso de 7 nm con 3 capas metálicas modeladas por una sola exposición a UVE sigue siendo un 20 % más alto que el proceso actual sin UVE de 10 nm.[210]​ Por lo tanto, se han implementado múltiples patrones con litografía de inmersión para la fabricación en volumen, mientras que se espera el despliegue de UVE en 2018-2020.

Historial de despliegue[editar]

El despliegue de LUVE para la fabricación en volumen se ha retrasado durante una década,[211][212]​ aunque los pronósticos para el despliegue tenían plazos de 2 a 5 años. El despliegue fue el objetivo en 2007 (5 años después de haberse realizado el pronóstico en 2002),[211]​ en 2009 (5 años después del pronóstico), en 2012–2013 (3–4 años), en 2013–2015 (2–4 años),[213][214]​ en 2016–2017 (2–3 años),[215]​ y en 2018-2020 (2–4 años después de las previsiones).[216]​ Sin embargo, el despliegue podría retrasarse aún más.[217]

Los envíos del sistema NXE:3350 comenzaron a fines de 2015, con un rendimiento declarado de 1250 obleas/día o 65 obleas por hora (WPH), suponiendo un tiempo de actividad del 80 %.[218][219]​ En comparación, la base instalada de 300 unidades de los sistemas de inmersión NXT 193-nm tenía una disponibilidad del 96 % y 275 WPH en 2015.[220][221]

Año WPH pronóstico WPH Disponibilidad Pronóstico disp.
2014 55[222] 70[223] 50 %[222]
2015 55[224] 75;[222]​ 125[223] 70 %[225] 70 %[222]
2016 85[225] 125[223] 80 %[225] 80 %[222]
2017 125[225] 85 %[225]
2018 140[225] 90 %[225]

En 2010-2016 se enviaron veinte unidades de UVE, menos del número que se requeriría para la fabricación en volumen. En comparación, ASML envió más de 60 sistemas de inmersión NXT 193-nm en 2016 y pronostica que se enviarán 48 unidades UVE en 2019.[226][227]​ Seis NXE: 3100 unidades fueron enviadas en 2010–2011.[228]​ Ocho unidades NXE: 3300B se enviaron en 2013Q3–2015Q1,[221]​ menos que el pronóstico de 11 unidades.[229]​ Dos unidades NXE: 3350B fueron enviadas a finales de 2015,[220]​ en comparación con un pronóstico de seis unidades.[221]​ Se enviaron cuatro unidades en 2016, en comparación con un pronóstico de seis o siete unidades desde el inicio del año.[230]

A partir de 2016, se pronosticaron 12 unidades para enviar en 2017,[230]​ y 24 unidades en 2018.[226]​ Sin embargo, el pronóstico de envío para 2017 se redujo a la mitad a principios de año a seis o siete unidades.[231]​ Está previsto que el NXE: 3350B se suspenda para 2017, para ser reemplazado por el NXE: 3400B. En el momento del envío del primer NXE: 3400B,[232]​ ocho sistemas NXE: 3300B y seis sistemas NXE: 3350B estaban funcionando en el campo.[233]

Un total de diez sistemas NXE3400B fueron enviados en 2017.[234]​ En el primer trimestre de 2018, se enviaron tres sistemas UVE.[235]​ En el Q2 2018, se enviaron 4 más.[236]

Problemas en curso para mejorar[editar]

Se anunció la introducción de NXE: 3400C en 2019, incluidas características que se enfocaron en mejorar significativamente el tiempo de actividad, como un diseño modular para un cambio más rápido, un suministro continuo de estaño y un mejor control de la degradación del colector.[237]​ Sin embargo, las mejoras de aberración aún no se han implementado, ya que las aberraciones deben medirse directamente in situ primero.[238]

Usar con patrones múltiples[editar]

Distribución del diseño de la UVE debido a diferentes iluminaciones. Este diseño consta de líneas verticales densas y líneas horizontales dispersas que requieren dos iluminaciones diferentes optimizadas para cada una. En consecuencia, sería necesario dividirlo, incluso para la litografía UVE.

Se anticipa que UVE usará un patrón doble por debajo de 34 nm de inclinación.[239]​ Esta resolución es equivalente a '1Y' para DRAM.[240][241]​ En el H2 2018, TSMC confirmó que su esquema UVE de 5 nm todavía usaba patrones múltiples,[242]​ también indica que el recuento de máscaras no disminuyó de su nodo de 7 nm, que usó un extenso modelado múltiple de DUV, a su nodo de 5 nm, que usó una UVE extensa.[243]​ Los proveedores de EDA también indicaron el uso continuo de flujos de múltiples patrones.[244][245]​ Mientras que Samsung introdujo su propio proceso de 7 nm con un solo patrón UVE,[246]​ encontró un ruido intenso de disparo de fotones que causó una excesiva rugosidad de la línea, lo que requirió una dosis más alta, lo que resultó en un menor rendimiento.[194]​ El nodo de 5 nm de TSMC usa reglas de diseño aún más estrictas.[247]Samsung indicó que las dimensiones más pequeñas tendrían un ruido de disparo más severo.[194]

En el esquema de litografía complementaria de Intel a medio paso de 20 nm, UVE se usaría solo en una segunda exposición de corte de línea después de una primera exposición de impresión de línea de 193 nm.[248]

También se esperarán múltiples exposiciones donde dos o más patrones en la misma capa, por ejemplo, diferentes tonos o anchos, deben usar diferentes formas de pupilas de fuente optimizadas.[249][250][251][252]​ Por ejemplo, cuando se considera una matriz de barras escalonadas de paso vertical de 64 nm, cambiar el tono horizontal de 64 a 90 nm cambia la iluminación optimizada significativamente.[33]​ La optimización de la máscara de origen que se basa en las rejillas de espacio de línea y las rejillas de punta a punta solo no implica mejoras para todas las partes de un patrón lógico, por ejemplo, una zanja densa con un hueco en un lado.[253][254]

Para el paso metálico de 24-36 nm, se encontró que el uso de UVE como (segunda) exposición de corte tenía una ventana de proceso significativamente más amplia que como una exposición individual completa para la capa de metal.[255]

También se esperan múltiples exposiciones de la misma máscara para el manejo de defectos sin películas, lo que limita la productividad de manera similar a los patrones múltiples.[188]

Extensión de un solo patrón: Anamórfico Alto-NA[editar]

Un retorno a generaciones extendidas de patrones de exposición única sería posible con herramientas de apertura numérica (NA) más altas. Una NA de 0,45 utilizando una longitud de onda de 13,5 nm podría requerir un reajuste de un pequeño porcentaje.[256]​ El aumento de la desmagnificación podría evitar este reajuste, pero el tamaño reducido del campo afecta severamente a los patrones grandes (un troquel por campo de 26 mm x 33 mm), como los chips Xeon de 14 nm de varios núcleos con transistores de 14 nm,[257]​ que requieren costura de campo.

En 2015, ASML reveló detalles de su escáner anamórfico UVE de próxima generación (longitud de onda de 13,5 nm), con un NA de 0,55. La desmagnificación se incrementa de 4x a 8x solo en una dirección (en el plano de incidencia).[258]​ Sin embargo, el 0,55 NA tiene una profundidad de enfoque mucho menor que la litografía de inmersión.[259]​ Además, se ha encontrado que una herramienta anamórfica 0,52 NA exhibe demasiada variabilidad de colocación y CD para la exposición única de nodos de 5 nm y el corte de patrones múltiples.[260]

La reducción de la profundidad[261]​ de enfoque al aumentar la NA también es una preocupación,[262]​ especialmente en comparación con las exposiciones multipatrónicas que utilizan litografía de inmersión de 193 nm:

longitud de onda índice de refracción NA PDE (normalizada)
193 nm 1,44 1,35 1
13,5 nm 1 0,33 1,17
13,5 nm 1 0,55 0,4

Las primeras herramientas de alta NA se esperan para 2020 como muy pronto.[263]

Más allá de la longitud de onda UVE[editar]

Una longitud de onda mucho más corta (~6,7 nm) estaría más allá de la UVE, y a menudo se la denomina BEUV (beyond extreme ultraviolet, "más allá del ultravioleta extremo"). Una longitud de onda más corta tendría peores efectos de ruido de disparo sin garantizar una dosis suficiente.[264]

Referencias[editar]

  1. «TSMC comenzará la producción de 10nm este año, reclama 5nm para 2020 - ExtremeTech». 20 de enero de 2016. 
  2. «La semana en resumen: Fabricación». 
  3. «GloFo busca liderazgo de 7nm». 25 de mayo de 2016. 
  4. «SemiWiki.com - Samsung 10nm and 7nm Strategy Explained!». www.semiwiki.com. 
  5. «ASML inicia NXE: envío 3400C, pero las restricciones de suministro se ciernen». 17 de octubre de 2019. 
  6. ASML Q2 2013 report.
  7. Singer, Pete (28 de enero de 2020). «ISS: Perspectivas 2020 para UVE». Semiconductor Digest (en inglés estadounidense). Consultado el 16 de mayo de 2020. 
  8. «Los costos de la herramienta UVE alcanzan los $120 millones». EETimes. 19 de noviembre de 2010. 
  9. «Los principales fabricantes de chips observan la litografía EUV para salvar la ley de Moore». IEEE Spectrum. 31 de octubre de 2016.  "The list price of ASML’s newest EUV machine exceeds €100 million"
  10. «Why EUV Is So Difficult». Semiconductor Engineering (en inglés estadounidense). 17 de noviembre de 2016. Consultado el 16 de mayo de 2020. 
  11. G. Yeap et al., 36.7,IEDM 2019.
  12. O. Adan and K. Houchens, Proc. SPIE 10959, 1095904 (2019).
  13. «Limpieza de colectores EUV». 
  14. H. Komori et al., Proc. SPIE 5374, pp. 839–846 (2004).
  15. B. A. M. Hansson et al., Proc. SPIE 4688, pp. 102–109 (2002).
  16. S. N. Srivastava et al., J. Appl. Phys.' 102, 023301 (2007).
  17. «H. S. Kim, El futuro de los dispositivos de memoria y la litografía EUV, 2009 EUV Symposium». Archivado desde el original el 10 de julio de 2015. Consultado el 1 de febrero de 2019. 
  18. H. Mizoguchi, "Actualización de Gigaphoton de fuente de luz EUV de plasma producida por láser," Taller de fuente de EUVL, 12 de mayo de 2008.
  19. «ASML heeft nieuwe megahal hard nodig». 
  20. Akira Endo, Hideo Hoshino, Takashi Suganuma, Masato Moriya, Tatsuya Ariga, Yoshifumi Ueno, Masaki Nakano, Takeshi Asayama, Tamotsu Abe, Hiroshi Komori, Georg Soumagne, Hakaru Mizoguchi, Akira Sumitani and Koichi Toyoda. «Laser Produced EUV Light Source Development for HVM». EUVA (Extreme Ultraviolet Lithography System Development Association). 
  21. Ted Cacouris, Greg Rechtsteiner, Will Conley. «Next-generation DUV light source technologies for 10nm and below». Cymer LLC, 17075 Thornmint Court, San Diego, CA 92127. 
  22. a b Dr. Sascha Migura, Carl Zeiss. «Optics for EUV Lithography». SMT GmbH, Oberkochen, Germany. 
  23. Renzo Capelli, Anthony Garetto, Krister Magnusson, Thomas Scherübl. «Scanner arc illumination and impact on EUV photomasks and scanner imaging». Carl-Zeiss-Promenade 10, 07745 Jena, Germany. 
  24. Y. Wang and Y. Liu, Proc. SPIE 9283, 928314 (2014).
  25. «R. Capelli et al., Proc. SPIE 9231, 923109 (2014).». Archivado desde el original el 10 de agosto de 2017. Consultado el 1 de febrero de 2019. 
  26. Schmoeller, Thomas; Klimpel, T; Kim, I; F. Lorusso, G; Myers, A; Jonckheere, Rik; Goethals, Anne-Marie; Ronse, K (14 de marzo de 2008). «EUV pattern shift compensation strategies - art. no. 69211B». Proceedings of SPIE - The International Society for Optical Engineering 6921. doi:10.1117/12.772640 – via ResearchGate. 
  27. Tao, Y. (2005). «Characterization of density profile of laser-produced Sn plasma for 13,5 nm extreme ultraviolet source». Appl. Phys. Lett. 86 (20): 201501. doi:10.1063/1.1931825. 
  28. T. Kagawa, K. Nishihara, A.Sasaki, F. Koike. «Theoretical Simulation for Spectra Emitted from Sn and Xe ions as an EUV Light Source». Department of Physics, Nara Women’s University, Nara 630-8506, Japan. 
  29. I. Fomenkov et al., Adv. Opt. Tech. 6, 173 (2017).
  30. Igor Fomenkov. «EUV Source for High Volume Manufacturing: Performance at 250 W and». 2017 Source Workshop, Dublin, Ireland. 
  31. R. Rokitski et al., Proc. SPIE 7640, 76401Q (2010).
  32. a b M. van de Kerkhof et al., Proc. SPIE 10143, 101430D (2017).
  33. a b Y. Chen et al., J.Vac. Sci. Tech. B35, 06G601 (2017).
  34. a b c d e Dr. Hakaru Mizoguchi, Hiroaki Nakarai, Tamotsu Abe, Krzysztof M Nowak, Yasufumi Kawasuji, Hiroshi Tanaka, Yukio Watanabe, Tsukasa Hori, Takeshi Kodama, Yutaka Shiraishi, Tatsuya Yanagida, Georg Soumagne, Tsuyoshi Yamada, Taku Yamazaki and Takashi Saitou. «High power lpp-euv source with long collector mirror lifetime for high volume semiconductor manufacturing». Hiratsuka facility: 3-25-1 Shinomiya Hiratsuka Kanagawa,254-8567, JAPAN.
  35. Martin A. van den Brink, Hans Jasper, Steve D. Slonaker, Peter Wijnhoven, Frans Klaassen. «Step-and-scan and step-and-repeat: a technology comparison». Proceedings Volume 2726, Optical Microlithography IX; (1996) https://doi.org/10.1117/12.240936 Event: SPIE's 1996 International Symposium on Microlithography, 1996, Santa Clara, CA, United States. 
  36. Paetzel, R. (2003). «Láseres excímeros para litografía de alta resolución NA 193 nm». Proc. SPIE. Optical Microlithography XVI 5040: 1665. doi:10.1117/12.485344. 
  37. Harilal, S. S. (2006). «Control espectral de las emisiones de los objetivos dopados con estaño para la litografía ultravioleta extrema». J. Phys. D 39 (3): 484-487. doi:10.1088/0022-3727/39/3/010. 
  38. T. Asayama et al., Proc. SPIE vol. 8683, 86831G (2013).
  39. Vadim Banine with the help of Rudy Peters, David Brandt, Igor Fomenkov, Maarten van Kampen, Andrei Yakunin, Vladimir Ivanov and many other people of ASML and Cymer. «EUV lithography: status, future requirements and challenges». EUVL Dublin. 
  40. a b P. De Bisschop, “Stochastic effects in EUV lithography: random, local CD variability, and printing failures”, J. Micro/Nanolith. MEMS MOEMS 16(4), 041013 (2017).
  41. a b c P. De Bisschop and E. Hendrickx, Proc. SPIE 10583, 105831K (2018).
  42. a b c A. Narasimhan et al., Proc. SPIE 9422, 942208 (2015).
  43. a b N. Felix et al., Proc. SPIE 9776, 97761O (2015).
  44. S. Bhattarai, Phesis Thesis, "Estudio de la rugosidad del borde de línea e interacciones de electrones secundarios en fotorresistencias para litografía de EUV," U. Calif. Berkeley, 2017.
  45. S. Larivière et al., Proc. SPIE 10583, 105830U (2018).
  46. J. Heo et al., Opt. Exp. 25, 4621 (2017).
  47. . Torok et al., "Electrones secundarios en litografía EUV", J. Photopol. Sci. and Tech., 26, 625 (2013).
  48. K. Ishii and T. Matsuda, Jpn. J. Appl. Phys. 29, 2212 (1990).
  49. A. Thete et al., Proc. SPIE 9422, 94220A (2015).
  50. B. tésis solar, p. 34
  51. «S. Bhattarai, Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography, 2017, p. 100.». Archivado desde el original el 21 de octubre de 2017. Consultado el 1 de febrero de 2019. 
  52. L. Peters, "Doble patrón conduce la carrera para 32 nm ", Semiconductor International, 18 de octubre de 2007.
  53. M. Sugawara et al., J. Vac. Sci. Tech. B 21, 2701 (2003).
  54. a b Proceedings. doi:10.1117/12.484986.pdf. 
  55. G. McIntyre et al., Proc. SPIE vol. 7271, 72711C (2009).
  56. T. Last et al., Proc. SPIE 9985, 99850W (2016).
  57. a b T. Last et al., Proc. SPIE vol. 10143, 1014311 (2017).
  58. W. Gao et al., Proc. SPIE vol. 10143, 101430I (2017).
  59. «Requisitos de planitud de máscara de EUV». Archivado desde el original el 26 de junio de 2015. Consultado el 1 de febrero de 2019. 
  60. T. Schmoeller et al., Proc. SPIE vol. 6921, 69211B (2008).
  61. P. Liu et al., Proc. SPIE vol. 8679, 86790W (2013).
  62. a b M. Sugawara et al., Proc. SPIE 9048, 90480V (2014).
  63. X. Chen et al., Proc. SPIE 10143, 101431F (2017).
  64. X. Chen et al., Proc. SPIE vol. 10143, 101431F (2017).
  65. «ASML: Productos - TWINSCAN NXE: 3400B». asml.com. Archivado desde el original el 15 de diciembre de 2018. Consultado el 1 de febrero de 2019. 
  66. a b X. Liu et al., Proc. SPIE vol. 9048, 90480Q (2014).
  67. O. Wood et al., Proc. SPIE 10450, 1045008 (2017).
  68. S. Yoshitake et al., EUV Requisitos de planitud de la máscara: E-beam Máscara Escritor Perspectiva del proveedor.
  69. C. S. Choi et al., Proc. SPIE 9235, 92351R (2014).
  70. http://www.lithoguru.com/textbook/Chapter10_Figures.ppt, p. 37.
  71. C. A. Mack, Microlith. World, 9-4, 25 (2000)
  72. J. S. Petersen et al., Proc. SPIE 3546, 288 (1998).
  73. «Copia archivada». Archivado desde el original el 5 de febrero de 2017. Consultado el 1 de febrero de 2019. 
  74. [1]
  75. L. Yuan et al., Proc. SPIE 8322, 832229 (2012).
  76. «Estudio de la rugosidad del borde de la línea e interacciones de los electrones secundarios en las fotorresistencias para litografía EUV | EECS en UC Berkeley». 
  77. Anderson, Christopher; Daggett, Joe; Naulleau, Patrick (31 de diciembre de 2009). «Corner rounding in EUV photoresist: tuning through molecular weight, PAG size, and development time» – via www.osti.gov. 
  78. a b c E. van Setten et al., Proc. SPIE 9661, 96610G (2015).
  79. a b c d E. van Setten et al., Intl. Symp. on EUV Lithography, 2014.
  80. V. M. Blanco Carballo et al., Proc. SPIE 10143, 1014318 (2017).
  81. a b c E. van Setten et al., Proc. SPIE 9231, 923108 (2014).
  82. K. van Ingen Schenau, 2013 EUVL Symposium.
  83. «SemiWiki.com - SEMICON West - Advanced Interconnect Challenges». www.semiwiki.com. 
  84. «SemiWiki.com - Exclusivo - GLOBALFOUNDRIES revela el detalle del proceso de 7nm». www.semiwiki.com. 
  85. L. T. Clark et al., Microelec. Journ. 53, 105 (2016).
  86. «ASML 2014 Investor Day slide 46». Archivado desde el original el 30 de agosto de 2017. Consultado el 1 de febrero de 2019. 
  87. T-S. Eom et al., Proc. SPIE 8679, 86791J (2013).
  88. K. A. Goldberg et al., Proc. SPIE 5900, 59000G (2005).
  89. Y. Liu and Y. Li, Opt. Eng. 55, 095108 (2016).
  90. a b R., Saathof, (1 de diciembre de 2018). Adaptive Optics to Counteract Thermal Aberrations: System Design for EUV-Lithography with Sub-nm Precision. 
  91. T. S. Jota and R. A. Chipman, Proc. SPIE 9776, 977617 (2016).
  92. a b c «El director de Mentor Graphics detalla los desafíos para el control de la colocación de bordes en 2020». nikonereview.com. Archivado desde el original el 1 de diciembre de 2018. Consultado el 1 de febrero de 2019. 
  93. M. Habets et al., Proc. SPIE 9776, 97762D (2016).
  94. M. Bayraktar et al., Opt. Exp. 22, 30623 (2014).
  95. F. Jiang et al., Proc. SPIE vol. 9422, 94220U (2015).
  96. a b c d I. Mochi et al., Proc. SPIE 9776, 97761S (2015).
  97. «Entendiendo el ruido de disparo de EUV». 
  98. D. Civay et al., Proc. SPIE 9048, 90483D (2014).
  99. T. Last et al., J. Micro/Nanolith. MEMS MOEMS 15, 043508 (2016).
  100. A-Y. Je et al., Proc. SPIE 7823, 78230Z (2010).
  101. T. Huynh-Bao et al., Proc. SPIE 9781, 978102 (2016).
  102. V. Philipsen et al., Proc. SPIE 9235, 92350J (2014).
  103. a b W. Gillijns et al., Proc. SPIE 10143, 1014314 (2017).
  104. R. Capelli et al., Proc. SPIE 9231, 923109 (2014).
  105. a b Y-G Wang et al., Proc. SPIE 10143, 1014320 (2017).
  106. US Patent 9715170.
  107. S. Nagahara et al., Proc. SPIE 7640, 76401H (2010).
  108. L. Pang et al., Proc. SPIE 7520, 75200X (2009).
  109. Hsu, Stephen D.; Liu, Jingjing (1 de enero de 2017). «Challenges of anamorphic high-NA lithography and mask making». Advanced Optical Technologies 6 (3-4). doi:10.1515/aot-2017-0024. 
  110. «Semicon/Japan 99». Archivado desde el original el 5 de agosto de 2017. Consultado el 1 de febrero de 2019. 
  111. http://slideplayer.com/slide/6214703/20/images/31/Image+formation+Number+of+diffracted+orders.jpg
  112. C. Krautschik et al., Proc. SPIE 4343, 392 (2001).
  113. A. Erdmann, P. Evanschitzky, and T. Fuhner, Proc. SPIE 7271, 72711E (2009).
  114. A. Erdmann et al., J. Micro/Nanolith. MEMS MOEMS 15, 021205 (2016).
  115. M. Burkhardt and A. Raghunathan, Proc. SPIE 9422, 94220X (2015).
  116. Z. Zhu et al., Proc. SPIE 5037, 494 (2003)
  117. V. Philipsen et al., Proc. SPIE 10143, 1014310 (2017).
  118. «Download Limit Exceeded». citeseerx.ist.psu.edu. 
  119. A. Erdmann et al., J. Micro/Nanolith. MEMS MOEMS 15(2), 021205 (2016).
  120. a b c d e L. Liebmann et al. Proc. SPIE 10148, 101480F (2017).
  121. V. Phiipsen et al., Proc. SPIE 10143, 104310(2017).
  122. C-H. Chang et al., Proc. SPIE 5377, 902 (2004).
  123. T. Devoivre et al., MTDT 2002.
  124. L. C. Choo et al., Proc. SPIE vol. 4000, 1193 (2000).
  125. J. Word and K. Sakajiri, Proc. SPIE 6156, 61561I (2006).
  126. T. Winkler et al., Prod. SPIE 5754, 1169 (2004).
  127. Y. Borodovsky et al., Proc. SPIE 4754, 1 (2002).
  128. S-S. Yu et al., Proc. SPIE 8679, 86791L (2013).
  129. a b A. Erdmann et al., Proc. SPIE 10583, 1058312 (2018).
  130. «Análisis de modo propio de campos EM en máscaras de UVE.». 
  131. «Máscara de desplazamiento de fase grabada con UVE de eficiencia ultra-alta». 
  132. B. L. Henke et al., J. Appl. Phys. 48, pp. 1852–1866 (1977).
  133. «Taller Internacional CNSE 2013 sobre Litografía EUV». 
  134. J. Torok et al., J. Photopolymer Sci. & Tech., 27, 611 (2014).
  135. a b Y. Kandel et al., Proc. SPIE 10143, 101430B (2017).
  136. D. D. Simone et al., Proc. SPIE 10143, 101430R (2017).
  137. a b c A. Thete et al., Phys. Rev. Lett. 266803 (2017).
  138. L. Wisehart et al., Proc. SPIE 9776, 97762O (2016).
  139. «Campo Eléctrico, Hojas Planas de Carga.». hyperphysics.phy-astr.gsu.edu. 
  140. P. de Schepper et al., Proc. SPIE 9425, 942507 (2015).
  141. M. Dapor, M. Ciappa, and W. Fichtner, J. Micro/Nanolith. MEMS MOEMS 9, 023001 (2010).
  142. a b Z. G. Song et al., J. Phys. D: Appl. Phys. 30, 1561 (1997).
  143. J. M. Roberts et al., Proc. SPIE 7273, 72731W (2009).
  144. G. Denbeaux et al., 2007 European Mask and Lithography Conference.
  145. I. Pollentier et al., Proc. SPIE vol. 7972, 797208 (2011).
  146. J. Y. Park et al., J. Vac. Sci. Tech. B29, 041602 (2011).
  147. J. Hollenshead and L. Klebanoff, J. Vac. Sci. & Tech. B 24, pp. 118–130 (2006).
  148. a b c d H atom based tin cleaning
  149. «Departamento de Física y Astronomía de la Universidad de Rutgers». www.physics.rutgers.edu. Archivado desde el original el 20 de diciembre de 2016. Consultado el 1 de febrero de 2019. 
  150. «Update of Resist Outgas Testing at EIDEC». 
  151. a b T. Van de Ven et al., J. Appl. Phys. 123, 063301 (2018).
  152. e.g., The Denitridation of Nitrides Under Hydrogen
  153. C. G. van de Walle and B. Tuttle, THEORY OF HYDROGEN INTERACTIONS WITH AMORPHOUS SILICON in Amorphous and Heterogeneous Silicon Thin Films — Fundamentals to Devices, edited by H. M. Branz, R. W. Collins, H. Okamoto, S. Guha, and B. Schropp, MRS Symposia Proceedings, Vol. 557 (MRS, Pittsburgh, Pennsylvania, 1999), p. 255.
  154. T. Tanabe, Y. Yamanishi, and S. Imoto, J. Nucl. Mat. 191-194, 439 (1992).
  155. a b D. T. Elg et al., J. Vac. Sci. Tech. A 34, 021305 (2016).
  156. Ampollas inducidas por el hidrógeno en multicapas de película delgada
  157. I-Y. Jang et al., Proc. SPIE 9256, 92560I (2014)
  158. Hydrogen penetration of Ru and Pd/Ru
  159. Pantisano, L; Schram, Tom; Li, Z; Lisoni, Judit; Pourtois, Geoffrey; De Gendt, Stefan; P. Brunco, D; Akheyar, A; Afanas'ev, V.V.; Shamuilia, Sheron; Stesmans, A (12 de junio de 2006). «Ruthenium gate electrodes on SiO2 and HfO2: Sensitivity to hydrogen and oxygen ambients». Applied Physics Letters - APPL PHYS LETT 88. doi:10.1063/1.2212288 – via ResearchGate. 
  160. Hydrogen penetration of boron carbide
  161. a b S-S. Kim et al., Proc. SPIE 10143, 1014306 (2017).
  162. Cribado de capas de recubrimiento de resistencia a la oxidación
  163. B. Thedjoisworo et al., J. Vac. Sci. Tech. A 30, 031303 (2012).
  164. «Plasma de hidrógeno para la fotoprotección». Archivado desde el original el 21 de marzo de 2020. Consultado el 2 de febrero de 2019. 
  165. «Resistencia al óxido de metal». 
  166. Thedjoisworo, Bayu; Cheung, David; Crist, Vince (2013). «Comparación de los efectos de los plasmas basados en H2 y O2 en la eliminación de la fotoprotección, el silicio y el nitruro de silicio». Diario de Ciencia y Tecnología de Vacío B, Nanotecnología y Microelectrónica: Materiales, Procesamiento, Medición y Fenómenos 31 (2): 021206. ISSN 2166-2746. doi:10.1116/1.4792254. 
  167. «Ponerse al día con los requisitos de la hoja de ruta para la litografía con rayos UV extremos». spie.org. 
  168. «Métodos rápidos de simulación para la fase no plana y los defectos de múltiples capas en las fotomáscaras de litografía y DUV y EUV». berkeley.edu. 
  169. H. Yu et al., J. Vac. Sci. Tech. A31, 021403 (2013).
  170. S. Huh et al., Proc. SPIE 7271 (2009).
  171. K. Seki et al., Proc. SPIE 9658, 96580G (2015).
  172. A. Garetto et al., J. Micro/Nanolith. MEMS MOEMS 13, 043006 (2014).
  173. M. Muller et al., Appl. Phys. A vol. 108, 263 (2012).
  174. «2016 EUV Mask Pellicle TWG update». 
  175. «EUVL activities in South Korea (including Samsung and SKHynix)». 
  176. I-S. Kim et al., Proc. SPIE vol. 8322, 83222X (2012).
  177. C. Zoldeski et al., Proc. SPIE vol. 9048, 90481N (2014).
  178. D. L. Goldfarb, Dec. 2015 BACUS Newsletter.
  179. «EUV Pellicle, Uptime And Resist Issues Continue». 
  180. A. Gao et al., J. Appl. Phys. 114, 044313 (2013).
  181. E. Gallagher et al., Proc. SPIE vol. 9635, 96350X (2015).
  182. C. Ghica et al., Rom. Rep. in Phys., vol. 62, 329-340 (2010).
  183. L. Juan et al., Chin. Phys. B, vol., 22, 105101 (2013).
  184. I. Pollentier et al., Proc. SPIE vol. 10143, 101430L (2017).
  185. H. Oizumi et al., Proc. SPIE vol. 5751, 1147 (2005).
  186. K. Motai et al., Proc. SPIE vol. 6517, 65170F (2007).
  187. Y. Nagaoka and J. Miyazaki, Proc. SPIE vol. 9635, 963510 (2015).
  188. a b H. J. Levinson and T. A. Brunner, Proc. SPIE 10809, 1080903 (2018).
  189. «5nm Fab Challenges». 20 de enero de 2016. «ASML is developing an anamorphic lens for EUV. The two-axis EUV lens would support 8x magnification in the scan mode and 4x in the other direction. It would support 0.5 to 0.6 NAs. … The EUV scanner could take a throughput hit. It would expose the wafer at only half the field size, as opposed to full field sizes with today’s EUV scanners.» 
  190. Hilbert Hagedoorn. «GeForce GTX 780 review». Guru3D.com. 
  191. Intel Xeon E5-2600 v3
  192. J. T. Neumann et al., Proc. SPIE vol. 8522, 852211 (2012).
  193. K. Takehisa, Proc. SPIE vol. 8701, 87010T (2013).
  194. a b c H-W Kim et al., Proc. SPIE 7636, 76360Q (2010).
  195. S-M. Kim et al., Proc. SPIE 9422, 94220M (2015).
  196. B. Baylav, "Reducción de la rugosidad del borde de la línea (LER) en la litografía de campo grande tipo interferencia", PhD dissertation, p. 37, 2014.
  197. Z-Y. Pan et al., Proc. SPIE 6924, 69241K (2008).
  198. R. L. Bristol and M. E. Krysak, Proc. SPIE 10143, 101430Z (2017).
  199. «2013 Nissan Chemical Industries, 2013 International Workshop on EUV Lithography». 
  200. T. G. Oyama et al., Appl. Phys. Exp. 7, 036501 (2014).
  201. T. Kozawa, Jpn. J. Appl. Phys. 51, 06FC01 (2012).
  202. «Photoresist ABCs». 
  203. «ASML: Products - TWINSCAN NXT:1980Di». www.asml.com. Archivado desde el original el 5 de diciembre de 2018. Consultado el 2 de febrero de 2019. 
  204. a b «EUV Photoresists». 
  205. a b «ASML 2016 EUVL Workshop». 
  206. «New solutions for resist». Archivado desde el original el 27 de agosto de 2017. Consultado el 2 de febrero de 2019. 
  207. «Metal oxide resist strip». 
  208. 2016 EUV source workshop, ASML update.
  209. Tartwijk, Stu Woo and Maarten van. «Can This Little-Known Chip Company Preserve Moore’s Law?». 
  210. V. M. Blanco Carballo et al., Proc. SPIE 10143, 1014318 (2017).
  211. a b [2]
  212. «Archived copy». Archivado desde el original el 24 de julio de 2016. Consultado el 2 de febrero de 2019. 
  213. Myslewski, Rik (25 de febrero de 2014). «First 'production-ready' EUV scanner laser-fries its guts at TSMC. Intel seeks alternative tech». The Register (en inglés). 
  214. McGrath, Dyaln (22 de noviembre de 2011). «Issues of EUV lithography». EE Times Asia (en inglés). 
  215. «TSMC orders production EUV systems». Optics.org (en inglés). 24 de noviembre de 2014. 
  216. «ASML boosted by extreme UV orders». Optics.org (en inglés). 20 de julio de 2016. 
  217. Vogler, Debra (24 de mayo de 2016). «EUVL: Taking It Down to 5nm». Semi (en inglés). Archivado desde el original el 15 de agosto de 2016. 
  218. «ASML Has Record Revenue for 2015; Will Raise Dividend, Buy Back More Stock | Semiconductor Manufacturing & Design Community». 
  219. Tiernan Ray. «ASML Gets a Lift From TSM, Though Questions on EUV Remain». Barrons. 
  220. a b «ASML 2015 Cuarto trimestre y resultados anuales 2015». 
  221. a b c «Resultados del segundo trimestre de ASML 2015». 
  222. a b c d e Frits van Hout (24 de noviembre de 2014). «EUV». ASML. Consultado el 14 de diciembre de 2016. 
  223. a b c ASML. «ASML reports 2013 results». US Securities and Exchange Commission. Consultado el 16 de julio de 2014. «We remain on target to deliver EUV systems with a throughput of 70 wafers per hour in 2014, upgradeable to 125 wafers per hour in 2015.» 
  224. «ASML 2015 Third Quarter Results». 
  225. a b c d e f g Hans Meiling (31 de octubre de 2016). «Role of EUV and its Business Opportunity». ASML. Archivado desde el original el 20 de diciembre de 2016. Consultado el 15 de diciembre de 2016. 
  226. a b «ASML reafirma las expectativas litográficas de EUV». 19 de octubre de 2016. 
  227. «Asml20170118presentation». 
  228. R. Peeters et al., Proc. SPIE 8679, 86791F (2013).
  229. «ASML delays EUV tool revenue recognition». 18 de abril de 2012. 
  230. a b «ASML confía en los objetivos de EUV 2016 El gigante de la litografía holandés espera enviar al menos seis sistemas a medida que los clientes apunten a la rampa de producción de 2018». 20 de enero de 2016. 
  231. «ASML Holding's (ASML) CEO Peter Wennink on Q4 2016 Results - Earnings Call Transcript». 18 de enero de 2017. 
  232. ASML ships first XNE:3400B
  233. EUV Progress, Hurdles Cited
  234. «2018 SPIE Advanced Lithography – EUVL Conference Update - EUVL Focus». electroiq.com. Archivado desde el original el 26 de enero de 2019. Consultado el 2 de febrero de 2019. 
  235. «ASML: Prensa - Comunicados de prensaLa demanda fuerte de DUV impulsa los resultados sólidos del primer trimestre y confirma las perspectivas positivas para 2018 - Múltiples pedidos de UVE, incluida alta NA, demuestra una mayor adopción de la tecnología de UVE- Comunicados de prensaLa fuerte demanda de DUV impulsa resultados sólidos en el primer trimestre y confirma una perspectiva positiva para 2018 - Múltiples pedidos de UVE, incluida alta NA, demuestran una mayor adopción de la tecnología de UVE». www.asml.com. 
  236. «ASML: Prensa - Comunicados de prensaEl crecimiento de las ganancias continúa, impulsado por fuertes ventas en toda la cartera de productos - El progreso continuo de EUV permite la aceleración de la hoja de ruta de ASML - Comunicados de prensa El crecimiento de las continuaciones, impulsado por fuertes ventas en toda la cartera de productos - El progreso continuo de la EUV permite la aceleración de roadmap de ASML». www.asml.com. 
  237. Gerven, Paul van (31 de enero de 2019). «De 3400C is de euv-machine die ASML altijd heeft willen bouwen». Bits & Chips (en neerlandés). 
  238. M. van de Kerkhof et al., Proc. SPIE 10583, 105830S (2018).
  239. D. De Simone et al., Advanced Lithography 2019, 10957-21.
  240. Techinsights Samsung DRAM
  241. Samsung '1y' is 16-nm
  242. «SemiWiki.com - Top 10 Highlights from the TSMC Open Innovation Platform Ecosystem Forum». www.semiwiki.com. 
  243. «DAC 2018 TSMC/Arm/Synopsys Breakfast». www.synopsys.com. Archivado desde el original el 5 de octubre de 2018. Consultado el 2 de febrero de 2019. 
  244. «Cadence logra la certificación EDA para TSMC 5nm y 7nm + FinFET Process Technologies para facilitar la creación de diseño móvil y HPC». 
  245. «Plataformas de diseño personalizado y digital Synopsys certificadas en tecnología de proceso basada en EUV TSMC 5 nm.». Design And Reuse. 
  246. «DAC 2018 Samsung/Synopsys Breakfast». www.synopsys.com. Archivado desde el original el 5 de octubre de 2018. Consultado el 2 de febrero de 2019. 
  247. TSMC 5nm in 2019
  248. Intel presentation on Complementary Lithography at 2012 International Workshop on EUV Lithography
  249. «EUV was never going to be single patterning». Archivado desde el original el 20 de noviembre de 2018. Consultado el 2 de febrero de 2019. 
  250. S. Hsu et al., Proc. SPIE 4691, 476 (2002).
  251. X. Liu et al., Proc. SPIE 9048, 90480Q (2014).
  252. S-Y. Oh et al., Proc. SPIE 4691, 1537 (2002).
  253. «UVE nunca iba a ser un patrón único». www.semiwiki.com. Archivado desde el original el 20 de noviembre de 2018. Consultado el 2 de febrero de 2019. 
  254. D. Rio et al., Proc. SPIE 10809, 108090N (2018).
  255. «UVE nunca iba a ser un patrón único». www.semiwiki.com. Archivado desde el original el 20 de noviembre de 2018. Consultado el 2 de febrero de 2019. 
  256. J. T. Neumann et al., Proc. SPIE 8522, 852211 (2012).
  257. Los chips Xeon E5-2600 V4 de Intel presentan un insano 7.2 billones de transistores en un dado de 456mm2,
  258. J. van Schoot et al., Proc. SPIE 9422, 94221F (2015).
  259. B. J. Lin, JM3 1, 7–12 (2002).
  260. E. R. Hosler et al., Proc. SPIE vol. 9776, 977616 (2015).
  261. B. J. Lin, J. Microlith., Microfab., Microsyst. 1, 7-12 (2002).
  262. B. J. Lin, Microelec. Eng. 143, 91-101 (2015).
  263. «Extendiendo UVE más allá de 3nm». 
  264. Mojarad, Nassir; Gobrecht, Jens; Ekinci, Yasin (18 de marzo de 2015). «Beyond EUV lithography: a comparative study of efficient photoresists' performance». Scientific Reports 5 (1): 9235. PMC 4363827. PMID 25783209. doi:10.1038/srep09235. 

Otras lecturas[editar]

Enlaces relacionados[editar]